Search results for syntax highlighting systemverilog