Awesome Open Source
Search
Programming Languages
Languages
All Categories
Categories
About
Search results for c plus plus fpga
c-plus-plus
x
fpga
x
193 search results found
Learn Fpga
⭐
2,225
Learning FPGA, yosys, nextpnr, and RISC-V
Silice
⭐
1,199
Silice is an open source language that simplifies prototyping and writing algorithms on FPGA architectures.
Nextpnr
⭐
1,162
nextpnr portable FPGA place and route tool
Openfpgaloader
⭐
948
Universal utility for programming FPGA
Vtr Verilog To Routing
⭐
925
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Oneapi Samples
⭐
758
Samples for Intel® oneAPI Toolkits
Fastor
⭐
579
A lightweight high performance tensor algebra framework for modern C++
Fpga Network Stack
⭐
559
Scalable Network Stack for FPGAs (TCP/IP, RoCEv2)
Xrt
⭐
491
Run Time for AIE and FPGA based platforms
Hal
⭐
490
HAL – The Hardware Analyzer
Beagle_sdr_gps
⭐
446
KiwiSDR: BeagleBone web-accessible shortwave receiver and software-defined GPS
Trisycl
⭐
424
Generic system-wide modern C++ for heterogeneous platforms with SYCL from Khronos Group
Sdaccel_examples
⭐
302
SDAccel Examples
Hdlconvertor
⭐
258
Fast Verilog/VHDL parser preprocessor and code generator for C++/Python based on ANTLR4
Hlslib
⭐
236
A collection of extensions for Vitis and Intel FPGA OpenCL to improve developer quality of life.
80x86
⭐
222
80186 compatible SystemVerilog CPU core and FPGA reference design
Dblclockfft
⭐
195
A configurable C++ generator of pipelined Verilog FFT cores
Panda Bambu
⭐
192
PandA-bambu public repository
Hwt
⭐
189
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Gemm_hls
⭐
184
Scalable systolic array-based matrix-matrix multiplication implemented in Vivado HLS for Xilinx FPGAs.
Kactus2dev
⭐
168
Kactus2 is a graphical EDA tool based on the IP-XACT standard.
Accelerating Cnn With Fpga
⭐
164
This project accelerates CNN computation with the help of FPGA, for more than 50x speed-up compared with CPU.
Autofpga
⭐
153
A utility for Composing FPGA designs from Peripherals
Metron
⭐
143
A C++ to Verilog translation tool with some basic guarantees that your code will work.
Openfpgaduino
⭐
135
All open source file and project for OpenFPGAduino project
Rosetta
⭐
127
Rosetta: A Realistic High-level Synthesis Benchmark Suite for Software Programmable FPGAs
Logic
⭐
121
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Binarybrain
⭐
118
Binary Neural Network Framework for FPGA(Differentiable LUT)
Hls_tutorial_examples
⭐
107
Examples shown as part of the tutorial "Productive parallel programming on FPGA with high-level synthesis".
Fpga Devcloud
⭐
106
Get started using Intel® FPGA tools on the Devcloud with tutorials, workshops, advanced courses, and sample projects built specifically for students, researchers, and developers. Visit our official Intel® FPGA Devcloud website:
Fpga_caffe
⭐
103
Intelrsd
⭐
99
Intel® Rack Scale Design Reference Software
Autobridge
⭐
94
[FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.
Charm
⭐
91
CHARM: Composing Heterogeneous Accelerators on Versal ACAP Architecture
Thundergp
⭐
90
HLS-based Graph Processing Framework on FPGAs
Karuta
⭐
87
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Wifi_jtag
⭐
85
ESP8266 as wireless JTAG Programmer
Rapid Design Methods For Developing Hardware Accelerators
⭐
84
Autobridge
⭐
81
[FPGA 2021, Best Paper Award] An automated floorplanning and pipelining tool for Vivado HLS.
Autosa
⭐
80
AutoSA: Polyhedral-Based Systolic Array Compiler
Prjtang
⭐
79
Documenting the Anlogic FPGA bit-stream format.
Aws Fpga App Notes
⭐
76
Application notes for the F1 EC2 Instance
Sdaccel Tutorials
⭐
75
SDAccel Development Environment Tutorials
Amf Placer
⭐
73
AMF-Placer 2.0: An open-source timing-driven analytical mixed-size FPGA placer of heterogeneous resources (LUT/FF/LUTRAM/MUX/CARRY/DSP/BRAM...)
Elasticsketchcode
⭐
69
Cordic
⭐
68
A series of CORDIC related projects
Domesdayduplicator
⭐
65
High-speed LaserDisc RF sampler
Fblas
⭐
57
BLAS implementation for Intel FPGA
Fpga Zynqnet
⭐
56
FPGA-based ZynqNet CNN accelerator developed by Vivado_HLS
Papilio Loader
⭐
56
Java and command line apps to load Xilinx Bit files to Papilio FPGA boards.
Accl
⭐
54
Alveo Collective Communication Library: MPI-like communication operations for Xilinx Alveo accelerators
Vitis_model_composer
⭐
54
Vitis Model Composer Examples and Tutorials
Mechsoftronic
⭐
54
FPGA based CNC Machine
Hexl Fpga
⭐
51
Intel Homomorphic Encryption Acceleration Library for FPGAs, including open source implementation of FPGA kernels for accelerating NTT, INTT, Keyswitch and Dyadic Multiplication modular arithmetic operations, FPGA runtime, and host APIs for connecting to third-party homomorphic encryption libraries.
Halide Hls
⭐
49
HLS branch of Halide
Flexcnn
⭐
47
Ripple Fpga
⭐
47
RippleFPGA, A Simultaneous Pack-and-Place Algorithm for UltraScale FPGA
Opennna
⭐
46
一个开源的FPGA神经网络加速器。
Xup_compute_acceleration
⭐
41
Hands-on experience using the Vitis unified software platform with Xilinx FPGA hardware
Novena Rf
⭐
41
A 300 MHz to 3800 MHz RF module for the Novena Open Hardware Computing Platform
Openparf
⭐
40
🕹 OpenPARF: An Open-Source Placement and Routing Framework for Large-Scale Heterogeneous FPGAs with Deep Learning Toolkit
Glitchsink
⭐
40
Voltage glitcher to bypass instructions/bootloader protections *without* target modification
Gemx
⭐
39
Matrix Operation Library for FPGA https://xilinx.github.io/gemx/
Tesla Model 3 Battery Bms
⭐
39
Reverse engineering of the Tesla Model 3 battery bms control
Matrixserver
⭐
37
LEDCube screenserver and application libraries
Accelerator Docker
⭐
35
Accelerator-Docker : provides common interface for automatic passthrough of heterogeneous hardware accelerators in docker
Acceleration_examples
⭐
34
ROS 2 package examples demonstrating the use of hardware acceleration.
Naja
⭐
34
Structural Netlist API (and more) for EDA post synthesis flow development
Higan Verilog
⭐
33
This is a higan/Verilator co-simulation example/framework
100g Fpga Network Stack Core
⭐
31
This repo contains the Limago code
Hcl Dialect
⭐
31
HeteroCL-MLIR dialect for accelerator design
Iroha
⭐
30
Intermediate Representation Of Hardware Abstraction (LLVM-ish for HLS)
Facedetect Fpga
⭐
29
T2sp
⭐
29
Productive and portable performance programming across spatial architectures (FPGAs, etc.) and vector architectures (GPUs, etc.)
Bsg_replicant
⭐
26
BSG Replicant: Cosimulation and Emulation Infrastructure for HammerBlade
Pif
⭐
23
FPGA on a Raspberry Pi
Suqa Core
⭐
23
Accel Docker
⭐
22
Chai
⭐
21
Chai
Icefloorplan
⭐
21
iCE40 floorplan viewer
Riscv
⭐
21
Open source ISS and logic RISC-V 32 bit project
Melon_s3_fpga
⭐
21
A Open-source Hardware : WiFi (ESP8266) FPGA Development Kit
Bottomfeeder
⭐
20
mini open source hybrid synth
Xgboost
⭐
20
Scalable, Portable and Distributed Gradient Boosting
Opencl_xilinx Intel_heterocl
⭐
19
This is a repo which contains some details about how to use OpenCL backend (Xilinx/Intel).
Apfp
⭐
19
FPGA acceleration of arbitrary precision floating point computations.
Elasticc
⭐
18
lightweight open HLS for FPGA rapid prototyping
Open Dnn
⭐
18
Audioxtreamer
⭐
17
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Hpcc_fpga
⭐
17
A OpenCL-based FPGA benchmark suite for HPC
Pipecnn_winograd
⭐
17
An OpenCL-Based FPGA Accelerator for Compressed YOLOv2
Xrm
⭐
17
XRM (Xilinx FPGA Resource Manager) Document:
Protoip
⭐
16
IP prototyping in FPGA hardware
Blakecoin
⭐
15
Blakecoin Fast Blake-256 Cryptographic Coin for CPU/GPU/FPGA
Snn Simulator On Pynqcluster
⭐
15
Smartcamera
⭐
15
Smart camera with OV 7670 and Zynq
Elas_soc
⭐
15
Source Code for "Real-Time Dense Stereo Matching with ELAS on FPGA Accelerated Embedded Devices"
Riscv Atom
⭐
14
An open-source 32-bit RISC-V soft-core processor for FPGAs.
Hog_zedboard
⭐
14
A real time Histogram of Oriented Gradients Implementation on FPGA
Hipacc Fpga
⭐
14
Fork of Hipacc generating code for Vivado HLS and Altera OpenCL
Related Searches
C Plus Plus Cmake (8,712)
C Plus Plus Qt (8,307)
C Plus Plus Video Game (8,255)
Python C Plus Plus (6,339)
C Plus Plus Algorithms (5,466)
C Plus Plus Opengl (4,396)
C Plus Plus 3d Graphics (3,196)
C Plus Plus Testing (2,735)
Java C Plus Plus (2,629)
C Plus Plus Command Line (2,304)
1-100 of 193 search results
Next >
Privacy
|
About
|
Terms
|
Follow Us On Twitter
Copyright 2018-2024 Awesome Open Source. All rights reserved.