High Level Synthesis Flow On Zynq Using Vivado Hls

This course provides professors with an understanding of high-level synthesis design methodologies necessary to develop digital systems using Vivado HLS. Now under 2018.2 version.
Alternatives To High Level Synthesis Flow On Zynq Using Vivado Hls
Project NameStarsDownloadsRepos Using ThisPackages Using ThisMost Recent CommitTotal ReleasesLatest ReleaseOpen IssuesLicenseLanguage
Xls1,087
5 months ago607apache-2.0C++
XLS: Accelerated HW Synthesis
Awesome Hdl830
6 months ago1
Hardware Description Languages
Pipelinec519
5 months ago82gpl-3.0Python
A C-like hardware description language (HDL) adding high level synthesis(HLS)-like automatic pipelining as a language construct/compiler feature.
Panda Bambu192
6 months ago8gpl-3.0C++
PandA-bambu public repository
Hls_tutorial_examples107
3 years agobsd-3-clauseC++
Examples shown as part of the tutorial "Productive parallel programming on FPGA with high-level synthesis".
Karuta87
2 years ago6gpl-3.0C++
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Vericert73
5 months ago4gpl-3.0Coq
A formally verified high-level synthesis tool based on CompCert and written in Coq.
Awesome_ai4eda62
3 years ago
Pynq Hls47
6 years ago1otherJupyter Notebook
A Tutorial on Putting High-Level Synthesis cores in PYNQ
Vivado Kmeans42
7 years ago2otherVHDL
Hand-written HDL code and C-based HLS designs for K-means clustering implementations on FPGAs
Alternatives To High Level Synthesis Flow On Zynq Using Vivado Hls
Select To Compare


Alternative Project Comparisons
Popular Hls Projects
Popular Synthesis Projects
Popular Networking Categories

Get A Weekly Email With Trending Projects For These Categories
No Spam. Unsubscribe easily at any time.
C
Synthesis
Hls